sambutan dari perdanamentri gaptech

assalamualaikum bang bang ni blog aye ya begitulah nanti isinya tentang aye dan banyak lagi dan terutama rekaman band aye

Selasa, 08 Maret 2011

Sequensial dan Flip-flop


1.   Rangkaian Sequensial dan Flip-flop

1. Perbedaan dari rangkaian kombinasional dan sekuensial
• Rangkaian kombinasional terdiri dari gerbang logika yang memiliki output yang selalu tergantung pada kombinasi input yang ada. Rangkaian kombinasional melakukan operasi yang dapat ditentukan secara logika dengan memakai sebuah fungsi boolean.
• Rangkaian sekuensial merupakan rangkaian logika yang keadaan outputnya tergantung pada keadaan input-inputnya juga tergantung pada keadaan output sebelumnya. Rangkaian ini juga didefenisikan sebagai rangkaian logika yang outputnya tergantung waktu.
2. Perbedaan dari : Truth table, state table, characteristic table, exitation table serta perbedaan dari Boolean equation, state equation, characteristic equation, flip-flop input equation.
Bagian A
• Turth Table merupakan suatu tabel yang menyajikan beragam kombinasi inputan dari suatu fungsi beserta output yang dihasilkan, dalam penyajianya biasa terdapat potongan-potongan fungsi jika fungsi yang ingin disajian tersebut panjang.
• State Table merupakan tabel yang menyajikan satu-persatu input, output, dan susunan flip-flop yang ada.
• Characteristic Table merupakan defenisi dari sifat-sifat logika dari sebuah rangkaian flip-flop dengan menjelaskan operasinya yang disajikan dalam bentuk tabel.
• Exitation Tabel merupakan tabel yang digunakan untuk menunjukkan input yang digunakan untuk perubahan state awalan.Bagian B
• Boolean Equation berfungsi untuk mendefenisikan suatu fungsi dalam rangkaian menggunakan bilangan biner yang terdiri angka 0 dan 1 serta symbol operasi logika.
• State Equation berfungsi untuk menetapkan suatu fungsi dari state lanjutan sebagai sebuah fungsi dari state awalan sebagai fungsi dari state awal dan input.
• Characteristic Equation berfungsi untuk menjelaskan sifat-sifat logika dari sebuah rangkaian flip-flop (seperti pada Characteristic Table) dalam bentuk aljabar.
• Flip-flop Input Equation merupakan bagian dari rangkaian yang menghasilkan input untuk ragkaian flip-flop secara aljabar, menggunakan kumpulan fungsi boolean.
3. Penjelasan dari bebereapa flip flop :
• D Flip-flop merupakan salah satu jenis flip-flop yang dibangun dengan menggunakan flip-flop S-R. Perbedaannya dengan flip-flop S-R terletak pada inputan R, pada D Flip-flop inputan R terlebi dahulu diberi gerbang NOT, maka setiap input yang diumpankan ke D akan memberikan keadaan yang berbeda pada input S-R, dengan demikian hanya akan terdapat dua keadaan S dan R yairu S=0 dan R=1 atau S=1 dan R=0, jadi dapat disi
• Master Save D Flip-flop merupakan rangkaian flip-flop yang memiliki 2 latch D dan sebuah inverter. Latch yang satu bernama Master dan yang kedua bernama Slave. Master D hanya akan mendeskripsikan diktat yang outputnya hanya dapt diganti selama ujung negatif jam.
• JK Flip-flop merupakan rangkaian flip-flop yang dibangun untuk megantisipasi keadaan terlarang pada flip-flop S-R.
• T Flip-flop merupakan rangkaian flip-flop yang dibangun dengan menggunakan flip-flop J-K yang kedua inputnya dihubungkan menjadi satu maka akan diperoleh flip-flop yang memiliki watak membalik output sebelumnya jika inputannya tinggi dan outputnya akan tetap jika inputnya rendah.
















MODUL TEKNIK DIGITAL
MODUL  VI
FLIP-FLOP








 
YAYASAN SANDHYKARA PUTRA TELKOM
SMK TELKOM SANDHY PUTRA MALANG
2007
MODUL  VI
FLIP FLOP

Mata Pelajaran : Teknik Digital
Kelas         : I (Satu)
Semester      : 1 (Satu)
Alokasi Waktu : 1 x 45 menit (1/2 x Pertemuan)


A. STANDAR KOMPETENSI
Menguasai Elektronika Digital

B. KOMPETENSI DASAR
Flip Flop

C. TUJUAN PEMBELAJARAN
Setelah mengikuti kegiatan Pembelajaran siswa diharapkan dapat :
·         Mengerti dan memahami jenis-jenis dan karakteristik S-R Flip Flop
·         Mengerti dan memahami cara membuat rangkaian S-R Flip Flop
·         Mengerti dan memahami karakteristik D Flip Flop
·         Mengerti dan memahami cara membuat rangkaian D Flip Flop
·         Mengerti dan memahami karakteristik J-K Flip Flop
·         Mengerti dan memahami cara membuat rangkaian J-K Flip Flop
·         Mengerti dan memahami karakteristik T Flip Flop
·         Mengerti dan memahami cara membuat rangkaian T Flip Flop


D. URAIAN MATERI

Ahli rekayasa mengelompokkan rangkain logika dalam dua kelompok. Kita telah bekerja dengan rangkaian logika kombinasional dengan gerbang AND, OR, dan NOT. Rangkaian logika kelompok lain adalah rangkaian sekuensial. Rangkaian sekuensial adalah rangkaian logika yang keluarannya tidak hanya tergantung dari kombinasi masukannya melainkan juga tergantung pada kondisi keluaran sebelumnya. Rangkaian sekuensial meliputi peralatan memori, dan pewaktu.
Blok bangunan dasar untuk rangkaian logika kombinasional berupa gerbang logika. Sedangkan blok bangunan untuk rangkaian sekuensial berupa flip-flop (FF).
Jika dilihat dari cara pemicuannya terdapat 4 buah jenis flip-flop. Salah satu jenis flip-flop akan di jelaskan pada bab ini.

A. RANGKAIAN DASAR FLIP -FLOP

Flip-flop  dapat  dibuat  dari dua buah gerbang NAND atau NOR
rangakaian flip flop yang menggunakan gerbang NAND, terdiri dari dua bah masukan pengontrol A dan B, dan dua buah keluaran Q dan Q’. Masukan A dan B ini dikenal sebagai pengontrol tak serempak karena keluarannya segera berubah bila masukannya berubah. Pada tabel kebenaran juga bisa dilihat, bahwa ketika masukan S dan R keduanya 0, maka semua keluaran menjadi 1. Ini disebut keadaan larangan untuk flip flop dan tidak digunakan. Dan ketika masukan S = 0 dan R = 1, maka keluaran Q di set pada logis 1. Ini disebut kondisi set. Dan sebaliknya pada kodisi S = 1 dan R = 0, maka keluaran di reset. Ini disebut kondisi reset.

B.    RS FLIP -FLOP DENGAN CLOCK
Dengan  menambah  beberapa  gerbang  pada  bagian  input  rangkaian  dasar,  flip-flop  tersebut  hanya  dapat  merespon  input  selama  terdapat  clock  pulsa.  Output  dari  flip-flop tidak akan berubah selama clock pulsanya 0 meskipun  terjadi   perubahan   pada   inputnya.   Output   flip-flop   hanya   akan   be rubah  sesuai dengan perubahan inputnya jika clock pulsa bernilai 1.


R-S atau S-R flip flop adalah tipe flip flop yang mempunyai masukan tak sinkron S (Set) atau R (Reset) atau keduanya, dan keluaran Q dan Q’. Masukan R dan S pada rangkaian flip flop dapat disinkronkan dengan menambahkan masukan clock pada rangkaian seperti pada gambar diatas. Keluaran Q tidak dapat merespon masukan S dan R sebelum ada masukan clock.

Konsep Flip-flop RS yang harus diingat adalah sbb:
1. R dan S keduanya rendah berarti keluaran y tetap berada pada keadaan terakhirnya secara tak terbatas akibat adanya aksi penggrendelan internal.
2. Masukan S yang tinggi mengeset keluaran y ke 1, kecuali jika keluaran ini memang telah berada pada keadaan tinggi. Dalam hal ini keluaran tidak berubah, walaupun masukan S kembali ke keadaan rendah.
3. Masukan R yang tinggi mereset keluaran y ke 0, kecuali jika keluaran ini memang telah rendah. Keluaran y selanjutnya tetap pada keadaan rendah, walaupun masukan R kembali ke keadaan rendah.
4. Memberikan R dan S keduanya tinggi pada saat yang sama adalah terlarang karena merupakan pertentangan (Kondisi ini mengakibatkan masalah pacu, yang akan dibahas kemudian).

C.    FLIP FLOP D
Simbol logika untuk flip flop D diperlihatkan gambar. Flip flop D hanya mempunyai satu masukan data dan satu masukan clock. Flip flop D sering disebut flip flop tunda. Kata tunda menggambarkan apa yang terjadi pada data, atau pada informasi masukan D. Data (0 atau 1) ditunda 1 pulsa clock dari pemasukannya sampai keluaran Q. Tabel dibawah ini menunjukkan tabel kebenaran dari flip flop D yang telah disederhanakan.

MASUKAN
KELUARAN
D
Qn+1
0
0
1
1

Perhatikan bahwa keluaran Q sama dengan masukan D sesudah satu pulsa clock (lihat kolom Qn+1).
Flip flop D dapat dibentuk dari flip flop S-R yang berdetak dengan menambahkan satu gerbang NOT, seperti gambar dibawah ini. Umumnya anda akan menggunakan flip flop D yang dimasukkan ke sebuah IC.



Terdapat berbagai cara untuk merancang flip-flop D. Pada dasarnya, flip-flop D merupakan multivibrator bistabil yang masukan D nya ditransfer ke keluaran setelah diterimanya sebuah pulsa lonceng.



D.    FLIP FLOP J-K
Flip flop J-K merupakan flip flop universal dan digunakan paling luas, memiliki sifat dari semua flip flop jenis lain. Simbol logika dari flip flop J-K dapat dilihat pada gambar.


satu cara untuk membangun sebuah flip-flop JK, J dan K disebut masukan pengendali karena menentukan apa yang dilakukan oleh flip-flop pada saat suatu pinggiran pulsa positif tiba. Rangkaian RC mempunyai tetapan waktu yang sangat pendek; hal ini mengubah pulsa lonceng segiempat menjadi impuls sempit. Pada saat J dan K keduanya 0, y tetap pada nilai terakhirnya.
  Pada saat J rendah dan K tinggi, gerbang atas tertutup, maka tidak terdapat kemungkinan untuk mengeset flip-flop.  Pada saat y adalah tinggi, gerbang bawah melewatkan pemicu reset segera setelah pinggiran pulsa lonceng positif berikutnya tiba. Hal ini mendorong y menjadi rendah . Oleh karenanya J = 0 dan K=1 berarti bahwa pinggiran pulsa lonceng positif berikutnya akan mereset flip-flopnya.
Pada saat J tinggi dan K rendah, gerbang bawah tertutup dan pada saat J dan K keduanya tinggi, kita dapat mengeset atau mereset flip-flopnya.

2.           Tabel Kebenaran Flip Flop J-K

CLK
J
K
y
0
­
­
­
0
0
1
1
0
1
0
1
Keadaan terakhir
0
1
Keadaan terakhir


D.  Flip-flop JK Utama/Pembantu (JK Master/Slave Flip-Flops)
Gambar memperlihatkan salah satu cara membangun sebuah flip-flop JK utama/pembantu (JK master/slave flip-flop), suatu cara lain untuk menghindarkan pacu. Cara kerjanya adalah sebagai betikut. Pertama, flip-flop utama terpicu-pinggiran-positif dan flip-flop pembantu terpicu-pinggiran-negatif. Oleh karenanya, flip-flop utama memberikan tanggapan terhadap masukan-masukan J dan K nya sebelum flip-flop pembantu. Jika J=1 dan K=0, flip-flop utama diset pada saat pinggiran pulsa lonceng positif tiba. Keluaran y yang tinggi dari flip-flop utama mendrive masukan J pada flip-flop pembantu, maka pada saat pinggian pulsa lonceng negatif tiba, flip-flop pembantu diset, menyamai kerja flip-flop utama.
  Jika J=0 dan K=1, flip-flop utama direset pada saat pinggiran naik pulsa lonceng tiba. Keluaran y yang tinggi dari flip-flop utama menuju ke masukan K pada flip-flop pembantu. Oleh karenanya, kedatangan pinggiran turun pulsa lonceng mendorong flip-flop pembantu untuk reset. Seklai lagi, flip-flop pembantu menyamai kerja flip-flop utama.
  Jika masukan J dan K pada flip-flop utama adalah tinggi, maka flip-flop ini toggle pada saat pinggiran pulsa lonceng positif tiba sedang flip-flop pembantu toggle pada saat pinggiran pulsa lonceng negatif tiba. Dengan demikian, apapun yang dilakukan oleh flip-flop utama, akan dilakukan pula oleh flip-flop pembantu: jika flip-flop utama diset, flip-flop pembantu diset; jika flip-flop utama direset, flip-flop pembantu direset pula.
 


Gambar Master Slave J-K Flip-flop

E.    FLIP FLOP T

Flip flop T atau flip flop toggle adalah flip flop J-K yang kedua masukannya (J dan K) digabungkan menjadi satu sehingga hanya ada satu jalan masuk. Karakteristik dari flip flop ini adalah kondisi dari keluaran akan selalu toogle atau selalu berlawanan dengan kondisi sebelumnya apabila diberikan masukan logika 1. Sementara itu kondisi keluaran akan tetap atau akan sama dengan kondisi keluaran sebelumnya bila diberi masukan logika 0.
Qn
T
Qn+1
1
1
0
0
1
1
1
0
0
0
0
0

T
Qn+1
0
Qn
1
/Qn

     Pada saat T = 0 maka Qn+1 = Qn
     Pada saat T = 1 maka Qn+1 = /Qn

F.    PEMICUAN FLIP FLOP

Pada flip flop untuk menyerempakkan masukan yang diberikan kepada kedua masukannya maka diperlukan sebuah clock untuk memungkinkan hal itu terjadi. Clock disini yang dimaksud adalah sinyal pulsa yang beberapa kondisinya dapat digunakan untuk memicu flip flop untuk bekerja. Ada beberapa kondisi clock yang bisa digunakan untuk menyerempakkan kerja flip flop yaitu :
·         Tepi naik     : yaitu pada saat perubahan sinyal clock dari logika rendah (0) ke logika tinggi (1)
·         Tepi turun    : yaitu pada saat perubahan sinyal clock dari logika tinggi (1) ke logika rendah (0)
·         Logika tinggi : yaitu pada saat sinyal clock berada dalam logika tinggi (1)
·         Logika rendah : yaitu pada saat sinyal clock berada dalam logika rendah (0)



Simbol-simbol Pemicuan


TABEL EKSITASI FLIP-FLOP

Dibawah   ini   adalah   karakteristik   tabel   dari   berbagai   type   flip-flop.   Nilai   X  menandakan bahwa nilainya dapat diisi kedua-duanya  yaitu  0  dan  1.

S-R Flip Flop

D Flip Flop


Q (t)
Q (t+1)
S
R

Q (t)
Q (t+1)
D
0
0
0
X

0
0
0
0
1
1
0

0
1
1
1
0
0
1

1
0
0
1
1
X
0

1
1
1









J-K Flip Flop



T Flip Flop


Q (t)
Q (t+1)
J
K

Q (t)
Q (t+1)
T
0
0
0
X

0
0
0
0
1
1
X

0
1
1
1
0
X
1

1
0
1
1
1
X
0

1
1
0

Lembar Kerja
Alat dan Bahan
1. IC TTL NOR, NAND, NOT...........     1 unit
2. Sumber tegangan dc 5 volt.......     1 unit
3. Papan penghubung................     secukupnya
4. Kabel penghubung................     secukupnya

Kesehatan dan Keselamatan Kerja
3. Periksalah terlebih dahulu semua komponen aktif maupun pasif sebelum digunakan !
4. Bacalah dan pahami petunjuk pratikum pada lembar kegiatan belajar !
5. Hati-hati dalam penggunaan peralatan pratikum !

Langkah Kerja
1. Siapkanlah alat dan bahan yang digunakan !
2. Periksalah semua lat dan bahan sebelum digunaka dan pastikan semua alat dan bahan dam keadaan baik !
3. Buatlah rangkian seperti di bawah ini



4. Amatilah  sinyal keluarannya terhadap masukan
5. Setelah selesai lakukanlah percobaan selanjutnya untuk rangkaian JK flip-flop
6. Buatlah rangkaian JK flip-flop dibawah ini:


7. Amatilah sinyal keluarannya terhadap masukan !
8. Jika telah selesai bongkar rangkaian dan kembalikan semua lat serta bahan ke tempat semula !

Lembar Latihan

1. Flip-flop RS sederhana dapat diubah menjadi flip-flop RS berlonceng (clocked), Gambarkan rangkaiannya dan jelaskan cara kerjanya ?


Sumber:
henryranu.files.wordpress.com/2007/12/modul-6-flip-flop.doc

















VLSI Design 'T Flip Flop'



Rancangan VLSI ini dibuat dengan menggunakan software microwind2.
Flip Flop adalah suatu rangkaian yang dapat menyimpan state biner (sepanjang masih terdapat power pada rangkaian) sampai terjadi perubahan pada sinyal inputnya. Suatu Flip Flop biasanya dikontrol oleh satu atau dua sinyal kontrol gerbang AND atau OR, atau sinyal clock. Outpunya biasanya terdapat komplemen seperti normal output. Flip Flop di implementasikan pada elektronika, sehingga tentu saja membutuhkan koneksi tegangan dan ground. Berikut adalah gambar dari semua jenis rancangan Flip Flop.
Toggle Flip Flop atau biasa disebut dengan T Flip Flop adalah versi dari JK Flip Flop dengan single input. T flip-flop mempunyai kemampuan yaitu membuat toggle. Jika input T high, maka T Flip Flop akan mengganti statusnya (Toggles).
Toggle Flip Flop dibuat dari single RS Flip Flip yang menjadi osilator saat diberikan clock. Untuk dapat melakukan togling, pulsa clock harus setengah dari panjang cycle. Sehingga Toggle Flip Flop membagi frekuensi clock menjadi 2, misalnya jika frekuensi clock adalah 4 MHz, maka frekuensi output yang didapat dari Flip Flop akan menjadi 2 MHz. Fitur pembagian ini memiliki tipe couter digital yang bervariasi. T Flip Flop ini juga dapat dibuat dengan menggunakan JK Flip flop (pin J dan K dihubungkan dan berlaku seperti T), atau D Flip Flop (input T dan Qsebelumnya dihubungkan dengan input D melalui gerbang XOR).
Pada T Flip Flop, yang perlu diperhatikan adalah input T dan Q. Sesuai dengan tabel kebenaran T Flip Flop yang sudah dibahas sebelumnya, jika T dan Q memiliki nilai yang sama, misalnya sama-sama low atau sama-sama high, maka output Q’ akan low atau memiliki logic 0. Namun jika input T dan Q memiliki nilai yang berbeda, misalnya input T diberikan logic 0 dan Q logic 1, atau sebaliknya, maka nilai Q’ akan high atau memiliki logic 1. Nilai output Q’ ini akan digunakan sebagai input Q selanjutnya.

FLIP-FLOP
Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur dengan jam atau pulsa, yaitu sistem-sistem tersebut bekerja secara sinkron dengan deretan pulsa berperiode T yang disebut jam sistem (System Clock atau disingkat menjadi CK). Seperti yang ditunjukkan dalam digunakan sebagai deretan pulsa untuk sinkronisasi suatu sistem digital sekuensial Lebor pulsa tp diandaikan kecil terhadap T
Berbeda dengan uraian materi sebelumnya yang bekerja atas dasar gerbang logika dan logika kombinasi, keluarannya pada saat tertentu hanya tergantung pada harga-harga masukan pada saat yang sama. Sistem seperti ini dinamakan tidak memiliki memori. Disamping itu bahwa sistem tersebut menghafal hubungan fungsional antara variabel keluaran dan variabel masukan.
Sedangkan fungsi rangkaian flip-flop yang utama adalah sebagai memori (menyimpan informasi) 1 bit atau suatu sel penyimpan 1 bit. Selain itu flip-flop juga dapat digunakan pada Rangkaian Shift Register, rangkaian Counter dan lain sebagainya.
Macam - macam Flip-Flop:
1. RS Flip-Flop
2. CRS Flip-Flop
3. D Flip-Flop
4. T Flip-Flop
5. J-K Flip-Flop
ad 1. RS Flip-Flop
RS Flip-Flop yaitu rangkaian Flip-Flop yang mempunyai 2 jalan keluar Q dan Q (atasnya digaris). Simbol-simbol yang ada pada jalan keluar selalu berlawanan satu dengan yang lain. RS-FF adalah flip-flop dasar yang memiliki dua masukan yaitu R (Reset) dan S (Set). Bila S diberi logika 1 dan R diberi logika 0, maka output Q akan berada pada logika 0 dan Q not pada logika 1. Bila R diberi logika 1 dan S diberi logika 0 maka keadaan output akan berubah menjadi Q berada pada logik 1 dan Q not pada logika 0.
Sifat paling penting dari Flip-Flop adalah bahwa sistem ini dapat menempati salah satu dari dua keadaan stabil yaitu stabil I diperoleh saat Q =1 dan Q not = 0, stabil ke II diperoleh saat Q=0 dan Q not = 1 yang diperlihatkan pada gambar berikut:
 Gambar 2. RS-FF yang disusun dari gerbang NAND
Tabel Kebenaran:
S
B
Q
Q
Keterangan
0
0
1
1
Terlarang
0
1
1
0
Set (memasang)
1
1
1
0
Stabil I
1
0
0
1
Reset (melepas)
1
1
0
1
Stabil II
0
0
1
1
Terlarang
1
1
Qn
Qn
Kondisi memori (mengingat)

Yang dimaksud kondisi terlarang yaitu keadaaan yang tidak diperbolehkan kondisi output Q sama dengan Q not yaitu pada saat S=0 dan R=0.
Yang dimaksud dengan kondisi memori yaitu saat S=1 dan R=1, output Q dan Qnot akan menghasilkan perbedaan yaitu jika Q=0 maka Qnot=1 atau sebaliknya jika Q=1 maka Q not =0.
ad 2. CRS Flip-Flop
 
Tabel kebenarannya:
S
R
Qn +1
0
0
Qn
0
1
0
1
0
1
1
1
terlarang
Keterangan:
Qn = Sebelum CK
Qn +1 = Sesudah CK
CRS Flip-flop adalah clocked RS-FF yang dilengkapi dengan sebuah terminal pulsa clock. Pulsa clock ini berfungsi mengatur keadaan Set dan Reset. Bila pulsa clock berlogik 0, maka perubahan logik pada input R dan S tidak akan mengakibatkan perubahan pada output Q dan Qnot. Akan tetapi apabila pulsa clock berlogik 1, maka perubahan pada input R dan S dapat mengakibatkan perubahan pada output Q dan Q not.
ad 3. D Flip-Flop
D flip-flop adalah RS flip-flop yang ditambah dengan suatu inventer pada reset inputnya. Sifat dari D flip-flop adalah bila input D (Data) dan pulsa clock berlogik 1, maka output Q akan berlogik 1 dan bilamana input D berlogik 0, maka D flip-flop akan berada pada keadaan reset atau output Q berlogik 0.
Tabel Kebenaran:
D
Qn+1
0
1
0
1

ad 4. T Flip-Flop
Tabel Kebenaran:
T
Q
0
0
1
0
0
1
1
1
0
0
1
0
0
1
1
1
Rangkaian T flip-flop atau Togle flip-flop dapat dibentuk dari modifikasi clocked RSFF, DFF maupun JKFF. TFF mempunyai sebuah terminal input T dan dua buah terminal output Q dan Qnot. TFF banyak digunakan pada rangkaian Counter, frekuensi deviden dan sebagainya.
ad 5. J-K Flip-Flop
JK flip-flop sering disebut dengan JK FF induk hamba atau Master Slave JK FF karena terdiri dari dua buah flip-flop, yaitu Master FF dan Slave FF. Master Slave JK FF ini memiliki 3 buah terminal input yaitu J, K dan Clock. Sedangkan IC yang dipakai untuk menyusun JK FF adalah tipe 7473 yang mempunyai 2 buah JK flip-flop dimana lay outnya dapat dilihat pada Vodemaccum IC (Data bookc IC). Kelebihan JK FF terhadap FF sebelumnya yaitu JK FF tidak mempunyai kondisi terlarang artinya berapapun input yang diberikan asal ada clock maka akan terjadi perubahan pada output.
Tabel Kebenaran:
J
K
Qn+1
Keterangan
0
0
Qn
Mengingat
0
1
0
Reset
1
0
1
Set
1
1
Qn (strep)
Togle
Petunjuk Pengerjaan !
Kerjakan tugas dan tes formatif berikut di ketik pada MS.WORD dan simpan menggunakan nama kalian masing-masing. kemudian kirimkan tugas tersebut dengan fungsi attachment file ke email saya di sunartooks190@yahoo.com paling lambat tanggal 10 Oktober 2008.

a. TUGAS
1. Berikan definisi dari suatu flip-flop!
2. Tuliskan 2 fungsi dari flip-flop !
3. Sebutkan jenis-jenis flip-flop yang pengaturnya menggunakan jam (clock)!
4. Gambarkan sebuah flip-flop RS yang tidak menggunakan dan disusun dari pintu/gerbang NAND!
5. Apa arti dari Men-Set flip-flop?
b. Test Formatif
I Berilah tanda silang pada jawaban yang paling benar!
1. Flip-flop termasuk golongan/keluarga:
a. Univibrator
b. Astabil Multivibrator
c. Monostabil Multivibrator
d. Bistabil Multivibrator
2. Yang bukan merupakan jenis flip-flop yang diatur dengan clock adalah:
a. JK FF
b. D FF
c. CRS FF
d. RS FF
3. Daerah terlarang untuk RS FF yang disusun dari pintu NAND yaitu:
a. S=0 , R=0 c. S=0 , R=1
b. S=1 , R=0 d. S=1 , R=1
4. Daerah stabil untuk RS FF yang dibangun dari pintu NAND yaitu:
a. S=0 , R=0 c. S=1 , R=0
b. S=1 , R=1 d. S=0 , R=1
5. Yang disebut dengan Me-Reset sebuah FF yaitu dengan membuat keluaran:
a. Q=1 , Qnot=0 c. Q=0 , Qnot=1
b. Q=1 , Qnot=1 d. Q=0 , Qnot=0
6. Jenis flip-flop yang tidak mempunyai kondisi terlarang adalah:
a. RS FF dari NAND c. JK FF
b. CRS FF d. RS FF dari NOR
7. Daerah terlarang untuk CRS flip-flop adalah:
a. R=1 , S=1 c. R=0 , S=1
b. R=0 , S=0 d. R=1 , S=0
8. Pernyataan berikut merupakan fungsi dari flip-flop, kecuali:
a. Memory
b. Pembangkit pulsa clock
c. Rangkaian penggeser data
d. Rangkaian hitung
9. Jenis IC yang melaksanakan fungsi NAND adalah:
a. 7402 c. 7473
b. 7400 d. 7474
10. Jenis IC yang melaksanakan fungsi JK FF adalah:
a. 7402 c. 7473
b. 7400 d. 7474

Tidak ada komentar:

Posting Komentar